FPGA

停车场系统的Verilog代码

此项目是停车场系统的Verilog代码。这个简单的项目是在Verilog中实现停车场系统。 停车场系统的Verilog代码已完整显示。在停车系统的入口处,有一个传感器被激活以检测车辆驶来。 触发传感器后,需要输入密码才能打开门。 如果输入的密码正确,则门将打开以允许车辆进入。否则,门仍被锁定。 如果当前汽车正驶入停车场,并被出口传感器检测到而另一辆汽车驶入,则门将被锁定,并要求即将驶来的汽车输入密码。

2020-03-29

82
下载量

用于在FPGA上反跳按钮的Verilog代码

此项目是用于在FPGA上反跳按钮的Verilog代码。这项目是将为FPGA上的按钮提供一个简单的防抖Verilog代码。机械开关/按钮在切换时会导致信号中无法预测的反弹。 有多种方法可以为FPGA上的按钮实现去抖动电路。 在该项目中,在Verilog中实现了一个简单的去抖动电路,当按下FPGA上的一个按钮时,该电路仅产生单个脉冲。在附件文件中包括:FPGA上按钮的反跳电路、在FPGA上反跳按钮的Verilog代码、用于反跳按钮的Testbench Verilog代码等等。

2020-03-28

91
下载量

FPGA上数字时钟的VHDL代码

此项目是FPGA上数字时钟的VHDL代码。该VHDL项目是Verilog中数字时钟的VHDL版本代码。 数字时钟的VHDL代码可综合用于FPGA实现,并提供完整的VHDL代码。此附件中包括:简单的闹钟示意图、闹钟的完整Verilog代码、闹钟的Testbench Verilog代码、闹钟的仿真波形图。本人在预览区展示了简单的闹钟示意图和闹钟的仿真波形图;如需了解更多请下载附件。

2020-03-28

56
下载量

交通信号灯控制器的Verilog代码

此项目是交通信号灯控制器的Verilog代码。给出了FPGA上交通信号灯控制器的Verilog源代码。 农场中的传感器将检测是否有车辆,并更改交通信号灯以允许车辆越过高速公路。 否则,高速公路灯始终是绿色的,因为它的优先级高于农场。该项目文件中包括:交通信号灯控制器的Verilog代码、用于功能仿真的Testbench Verilog代码、Verilog中交通信号灯控制器的仿真结果、Verilog中交通信号灯控制器的仿真波形。

2020-03-28

81
下载量

FPGA上的Verilog车牌识别

此项目是FPGA上的Verilog车牌识别。牌照许可证识别系统在Matlab中实现,然后使用Verilog在FPGA Xilinx Spartan-6上实现。本人在下方展示了一部分测试车牌识别系统Verilog代码;如想了解得更详细请下载附件。

2020-03-28

141
下载量

如何将文本文件或图像加载到FPGA中

此项目是如何将文本文件或图像加载到FPGA中。该项目介绍了两种使用Verilog或VHDL将文本文件或图像加载到FPGA中进行图像处理的方法。 对于实时FPGA图像处理项目中的功能验证,真的非常有用。第一种方法是通过使用Verilog / VHDL代码读取二进制/十六进制文件将文本文件或图像加载到内存中。第二种方法是将其初始化为块存储器的初始值。

2020-03-28

15
下载量

使用Verilog HDL在FPGA上进行图像处理

此项目是使用Verilog HDL在FPGA上进行图像处理。该FPGA项目旨在详细显示如何使用Verilog处理图像,方法是在Verilog中读取输入位图图像(.bmp),在Verilog中处理并将处理后的结果写入输出位图图像。 提供了用于读取图像,图像处理和写入图像的完整Verilog代码。如想了解详情请下载附件。

2020-03-28

40
下载量

微信捐赠

微信扫一扫体验

立即
上传
返回
顶部