FIR滤波器

用于VHDL中ECG去噪的低通FIR滤波器

此项目是用于VHDL中ECG去噪的低通FIR滤波器。在此VHDL项目中,在VHDL中实现了用于ECG去噪的简单低通FIR滤波器。 完整显示了FIR滤波器的VHDL代码。通过将Modelsim中的仿真结果与Matlab生成的正确结果进行比较,可以对FIR滤波器的VHDL代码进行仿真和验证。 显然,ECG数字信号处理中最关键的步骤之一就是噪声滤波,因为ECG信号受到许多不同来源(例如基线漂移,EMG干扰和电力线噪声)的干扰。

2020-03-31

62
下载量

微信捐赠

微信扫一扫体验

立即
上传
返回
顶部