交通信号灯控制器的Verilog代码

交通信号灯控制器的Verilog代码

此项目是交通信号灯控制器的Verilog代码。给出了FPGA上交通信号灯控制器的Verilog源代码。 农场中的传感器将检测是否有车辆,并更改交通信号灯以允许车辆越过高速公路。 否则,高速公路灯始终是绿色的,因为它的优先级高于农场。该项目文件中包括:交通信号灯控制器的Verilog代码、用于功能仿真的Testbench Verilog代码、Verilog中交通信号灯控制器的仿真结果、Verilog中交通信号灯控制器的仿真波形。

2020-03-28

81
下载量

微信捐赠

微信扫一扫体验

立即
上传
返回
顶部