密码协处理器设计

密码协处理器设计

此项目是VHDL中的密码协处理器设计。在此VHDL项目中,在VHDL中设计和实现了用于密码应用程序的完整协处理器。如先前的Verilog / VHDL项目中所述,协处理器提供了针对安全性的标准指令和专用功能单元。 协处理器是在VHDL中设计和实现的,而ALU单元中的N位加法器是在Verilog中实现的。了解更多请下载附件。

2020-04-08

56
下载量

微信捐赠

微信扫一扫体验

立即
上传
返回
顶部