VHDL代码

算术逻辑单元(ALU)的VHDL代码

此项目是算术逻辑单元(ALU)的VHDL代码。算术逻辑单元(ALU)是CPU中最重要的数字逻辑组件之一。 它通常执行逻辑和算术运算,例如加法,减法,乘法,除法等。ALU中实现的逻辑和算术运算如下:1.算术加法ALU_Out = A + B;2.算术减法ALU_Out = A-B;3.算术乘法ALU_Out = A * 等等。了解更多请下载附件。

2020-04-05

104
下载量

全加法器的VHDL代码

此项目是全加法器的VHDL代码。在此VHDL项目中,提供了用于全加器的VHDL代码。 用于加法器的VHDL代码是通过使用行为和结构模型来实现的。全加法器具有三个输入X1,X2,进位Cin和两个输出S,进位Cout。附件中包括:使用结构模型的完整加法器的VHDL代码、使用行为模型的全加法器的VHDL代码。如想了解的更多请下载附件。

2020-04-01

250
下载量

D触发器的VHDL代码

此项目是D触发器的VHDL代码。该项目介绍了D型触发器的VHDL代码。  D触发器有几种类型,例如高级异步复位D触发器,低级异步复位D触发器,同步复位D触发器,上升沿D触发器,下降沿D触发器。 触发器,在此VHDL项目中的VHDL中实现。附件中包括:上升沿D型触发器的VHDL代码、具有同步复位的上升沿D触发器的VHDL代码、具有异步复位高电平的上升沿D触发器的VHDL代码等等。了解更多请下载附件。

2020-04-01

93
下载量

FIFO存储器的VHDL代码

此项目是FIFO存储器的VHDL代码。FIFO具有16个8位数据宽度级和五个状态信号,包括上溢,下溢,空,满和阈值。 通过在Xilinx ISIM上进行混合语言仿真,使用相同的Verilog测试平台代码验证FIFO存储器的VHDL代码。通过观察可以很容易地看到如何将数据写入FIFO以及如何从FIFO读取数据。 值得注意的是,状态信号(例如上溢,下溢,空,满)对于确定FIFO的正确性至关重要。

2020-04-01

73
下载量

8位比较器的VHDL代码

此项目是8位比较器的VHDL代码。这项目介绍了一个8位比较器的VHDL代码。 74F521是一个8位身份比较器,如果两个8位输入匹配,它将提供低电平输出。此附件包括:真值表和比较器的符号【真值表、比较器的逻辑符号、逻辑图(来自74L521的数据表)】;比较器的VHDL代码;比较器的Testbench VHDL代码;比较器的仿真波形。

2020-03-29

117
下载量

微信捐赠

微信扫一扫体验

立即
上传
返回
顶部