非线性查找表

非线性查找表

此项目是VHDL中的非线性查找表。在该VHDL项目中,在VHDL中实现了非线性查找表,该表用于即将来临协处理器的哈希函数中。哈希算法中使用的非线性运算利用并行的4位非线性运算,其中输入半字节(4位)被映射到另一个非线性4位值。了解更多请下载附件。

2020-04-08

157
下载量

微信捐赠

微信扫一扫体验

立即
上传
返回
顶部