流水线MIPS处理器

Verilog中的流水线MIPS处理器(第2部分)

此项目是Verilog中的流水线MIPS处理器(第2部分)。该项目将展示用于32位5级流水线MIPS处理器的Verilog代码。在第1部分中,提供了单周期MIPS数据路径的Verilog代码。 现在,继续进行MIPS处理器ALU的设计和Verilog代码。附件文件包括:32位ALU的Verilog代码、数据存储器的Verilog代码、零扩展模块的Verilog代码等等。想了解更多请下载附件。

2020-04-01

80
下载量

微信捐赠

微信扫一扫体验

立即
上传
返回
顶部