FPGA

[FPGA教程] Basys 3 FPGA上的七段LED显示

此项目是[FPGA教程] Basys 3 FPGA上的七段LED显示。该FPGA教程将指导您如何控制Basys 3 FPGA板上的4位七段显示器。 将在Verilog中设计一个显示控制器,以在Basys 3 FPGA的4位7段LED显示屏上显示数字。 还将提供七段式LED显示控制器的完整Verilog代码。了解的更多请下载附件。

2020-04-08

147
下载量

8位比较器的VHDL代码

此项目是8位比较器的VHDL代码。这项目介绍了一个8位比较器的VHDL代码。 74F521是一个8位身份比较器,如果两个8位输入匹配,它将提供低电平输出。此附件包括:真值表和比较器的符号【真值表、比较器的逻辑符号、逻辑图(来自74L521的数据表)】;比较器的VHDL代码;比较器的Testbench VHDL代码;比较器的仿真波形。

2020-03-29

117
下载量

算术逻辑单元(ALU)的Verilog代码

此项目是算术逻辑单元(ALU)的Verilog代码。上一次,在VHDL中设计并实现了算术逻辑单元(ALU)。 提出了用于ALU的完整VHDL代码。现在,apollocode提供了ALU的Verilog代码。 还提供了用于ALU的testbench Verilog代码以进行仿真。附件中包括:ALU的Verilog代码、ALU的Testbench Verilog代码、ALU的仿真波形。了解更多请下载附件。

2020-04-05

136
下载量

用于在FPGA上反跳按钮的Verilog代码

此项目是用于在FPGA上反跳按钮的Verilog代码。这项目是将为FPGA上的按钮提供一个简单的防抖Verilog代码。机械开关/按钮在切换时会导致信号中无法预测的反弹。 有多种方法可以为FPGA上的按钮实现去抖动电路。 在该项目中,在Verilog中实现了一个简单的去抖动电路,当按下FPGA上的一个按钮时,该电路仅产生单个脉冲。在附件文件中包括:FPGA上按钮的反跳电路、在FPGA上反跳按钮的Verilog代码、用于反跳按钮的Testbench Verilog代码等等。

2020-03-28

91
下载量

比较器的Verilog代码

此项目是比较器的Verilog代码。在该项目中,在Verilog HDL中设计并实现了一个简单的2位比较器。 给出了真值表,K-Map和比较器的最小化方程。 比较器的Verilog代码由ModelSim仿真,并给出了仿真波形。2位比较器的规格如下:输入:2位A和B用于比较;输出:A_greater_B:如果A> B,则为高,否则为低;A_equal_B:如果A = B,则为高,否则为低;A_less_B:如果A

2020-04-01

104
下载量

4x4乘法器的Verilog代码

此项目是4x4乘法器的Verilog代码。该项目将使用Verilog HDL实现4x4乘法器。所使用的技术是移位/相加算法,但不同的功能是使用两相自计时系统,以将乘法时间减少一半。此附件文件包括:乘法器的Verilog代码、4x4乘法器的仿真结果。注意:一旦确定了启动信号,乘法器便开始执行乘法。 通过创建2个相位时钟,它将乘法时间减少了一半。结束信号,通知乘法器乘法已经完成并且结果准备就绪。

2020-03-29

96
下载量

算术逻辑单元(ALU)的VHDL代码

此项目是算术逻辑单元(ALU)的VHDL代码。算术逻辑单元(ALU)是CPU中最重要的数字逻辑组件之一。 它通常执行逻辑和算术运算,例如加法,减法,乘法,除法等。ALU中实现的逻辑和算术运算如下:1.算术加法ALU_Out = A + B;2.算术减法ALU_Out = A-B;3.算术乘法ALU_Out = A * 等等。了解更多请下载附件。

2020-04-05

104
下载量

使用VHDL的矩阵乘法设计

此项目是[完整的VHDL代码]使用VHDL的矩阵乘法设计。介绍了用于矩阵乘法的VHDL代码。 该VHDL项目在开发和实现可综合的矩阵乘法器内核,该内核能够对32x32大小的矩阵执行矩阵计算。矩阵的每个分量都是16位无符号整数。 该内核在Xilinx FPGA Spartan-6 XC6SLX45-CSG324-3上实现。 行为和路由后验证均已完成。 仿真结果与Matlab实现结果进行了精确比较。想了解更多请下载附件。

2020-03-30

98
下载量

Verilog中的32位无符号除法器

此项目是Verilog中的32位无符号除法器。在该项目中,使用结构模型和行为模型在Verilog中实现了32位无符号除法器。 分频器的Verilog代码是可综合的,可以在FPGA上实现。附件中包括:32位无符号除法器的结构模型Verilog代码、32位无符号除法器的行为模型Verilog代码、结构分隔器的Verilog测试平台代码、行为分隔器的Verilog测试平台代码、分频器的仿真波形。

2020-03-31

128
下载量

FPGA上的Verilog车牌识别

此项目是FPGA上的Verilog车牌识别。牌照许可证识别系统在Matlab中实现,然后使用Verilog在FPGA Xilinx Spartan-6上实现。本人在下方展示了一部分测试车牌识别系统Verilog代码;如想了解得更详细请下载附件。

2020-03-28

141
下载量

微信捐赠

微信扫一扫体验

立即
上传
返回
顶部