Verilog数字系统设计教程

Verilog HDL和VHDL的比较 1.4 Verilog的应用情况和适用的设计 1.5 采用Verilog HDL设计复杂数字电路的优点 1.6 采用硬件描述语言(Verilog HDL)的设计流程简介

应用介绍

绪论
第一部分 Verilog数字设计基础
第1章 Verilog的基本知识
1.1 硬件描述语言HDL
1.2 Verilog HDL的历史
1.2.1 什么是Verilog HDL
1.2.2 Verilog HDL的产生及发展
1.3 Verilog HDL和VHDL的比较
1.4 Verilog的应用情况和适用的设计
1.5 采用Verilog HDL设计复杂数字电路的优点
1.5.1 传统设计方法——电路原理图输入法
1.5.2 Verilog HDL设计法与传统的电路原理图输入法的比较
1.5.3 Verilog的标准化与软核的重用
1.5.4 软核、固核和硬核的概念及其重用
1.6 采用硬件描述语言(Verilog HDL)的设计流程简介
1.6.1 自顶向下(Top_Down)设计的基本概念
1.6.2 层次管理的基本概念
1.6.3 具体模块的设计编译和仿真的过程
1.6.4 具体工艺器件的优化、映像和布局布线
小结
思考题
第2章 Verilog语法的基本概念
概述
2.1 Verilog模块的基本概念
2.2 Verilog用于模块的测试
小结
思考题
第3章 模块的结构、数据类型、变量和基本运算符号
概述
3.1 模块的结构
3.1.1 模块的端口定义
3.1.2 模块内容
3.1.3 理解要点
3.1.4 要点总结
3.2 数据类型及其常量和变量
3.2.1 常量
3.2.2 变量
3.3 运算符及表达式
3.3.1 基本的算术运算符
3.3.2 位运算符
小结
思考题
第4章 运算符、赋值语句和结构说明语句
概述
4.1 逻辑运算符
4.2 关系运算符
4.3 等式运算符
4.4 移位运算符
4.5 位拼接运算符
4.6 缩减运算符
4.7 优先级别
4.8 关键词
4.9 赋值语句和块语句
4.9.1 赋值语句
4.9.2 块语句
小结
思考题
第5章 条件语句、循环语句、块语句与生成语句
概述
5.1 条件语句(if else语句)
5.2 case语句
5.3 条件语句的语法
5.4 多路分支语句
……
第二部分 Verilog数字系统设计和验证
第三部分 Verilog数字设计示范与实验练习
第四部分 Verilog简明语法
参考文献
出版者的话

文件列表(部分)

名称 大小 修改日期
《Verilog数字系统设计教程》.pdf42,541.01 KB2015-12-04

立即下载

相关下载

[FPGA代码 和verilog代码] 这是FPGA verilog代码设计到SD卡操作, 摄像头ov7725,ov5640, 音频,以太网udp, vga接口,hdmi接口,tft图像, ov5640 摄像头,音频等等一些经典代码,Quartus II 开发
[FPGA代码 和verilog代码] 这是FPGA学习的一些例程,非常有参考意义: 1. 半加器2. 全加器3. 译码器4. LED5. flip 触发器6. 计算器7.按键 8.蜂鸣器9. ip核10 .rs232
[FPGA 路线] FPGA 大牛推荐你们看如下文档,如何成为芯片高手: 1. Verilog硬件描述语言IEEE官方手册2. Xilinx S6系列官方资料3. Modelsim仿真参考工具书
[Verilog硬件描述语言IEEE官方手册] Verilog硬件描述语言IEEE官方手册,IEEE Standard Verilog Hardware Description Language
[设计与验证:Verilog HDL] 设计与验证以实例讲解的方式对HDL语言的设计方法进行介绍。介绍了Verilog HDL语言的基本概念、设计流程、语法及建模方式等内容;主要讨论如何合理地使用Verilog HDL语言描述高性能的可综合电路介
[Verilog数字系统设计教程] Verilog HDL和VHDL的比较 1.4 Verilog的应用情况和适用的设计 1.5 采用Verilog HDL设计复杂数字电路的优点 1.6 采用硬件描述语言(Verilog HDL)的设计流程简介

评论列表 共有 0 条评论

暂无评论

微信捐赠

微信扫一扫体验

立即
上传
发表
评论
返回
顶部