UART模型

verilog实现串口功能

内核的主要代码位于rtl子目录中。 uart_rx.v和uart_tx.v文件是实际的实现,uart.v只是实例化这两个模块并建立了两个内部连接。 UART发送器和接收器均使用单个发送或接收引脚。这些模块采用一个参数DATA_WIDTH,该参数指定数据总线的宽度和通信的实际数据字的长度。 8位接口的默认值为8。

2020-06-10

3
下载量

Verilog UART模型

此项目是Verilog UART模型。注意事项:波特率模块采样脉冲式分频;接收模块仅在一个时刻采样,若需要考虑误码率可在状态机里插入0-1计数器。本人只展示了一部分代码,如果想详细的了解,请点击下方链接下载。

2020-03-08

20
下载量

微信捐赠

微信扫一扫体验

立即
上传
返回
顶部