单端口RAM

ram_sp_sr_sw.v

此项目是verilog的单端口RAM同步读/写。表达了输入端口、内部变量、三态缓冲器控制、内存写块的知识点。

2020-03-07

31
下载量

微信捐赠

微信扫一扫体验

立即
上传
返回
顶部