移位器设计

VHDL中的移位器设计

此项目是VHDL中的移位器设计。在此VHDL项目中,将在VHDL中实现一个具有移位和旋转数据能力的移位器,该移位器主要用于密码的置换和转置。 VHDL移位器是即将到来的协处理器处理单元中的关键组件。 快速移位和旋转功能对于密码应用至关重要。了解更多请下载附件。

2020-04-08

246
下载量

微信捐赠

微信扫一扫体验

立即
上传
返回
顶部