VHDL中的完整8位微控制器

VHDL中的完整8位微控制器

此项目是VHDL中的完整8位微控制器。在此VHDL项目中,介绍了微控制器的VHDL代码。 8位微控制器是作为完整设计而设计,实现和操作的,用户可以使用汇编语言对微控制器进行编程。完成设计后,微控制器将在FPGA DE0纳米板上实现。如想了解得更多请下载附件。

2020-03-31

100
下载量

微信捐赠

微信扫一扫体验

立即
上传
返回
顶部