VHDL

密码协处理器设计

此项目是VHDL中的密码协处理器设计。在此VHDL项目中,在VHDL中设计和实现了用于密码应用程序的完整协处理器。如先前的Verilog / VHDL项目中所述,协处理器提供了针对安全性的标准指令和专用功能单元。 协处理器是在VHDL中设计和实现的,而ALU单元中的N位加法器是在Verilog中实现的。了解更多请下载附件。

2020-04-08

56
下载量

带测试平台的计数器的VHDL代码

此项目是带测试平台的计数器VHDL代码。在此VHDL项目中,计数器在VHDL中实现。 计数器的测试台VHDL代码也与仿真波形一起显示。附件中包括:递增计数器的VHDL代码、递增计数器的Testbench VHDL代码、减计数器的VHDL代码、递减计数器的Testbench VHDL代码、上下计数器的VHDL代码、向下计数器的Testbench VHDL代码等等。了解更多请下载附件。

2020-04-05

102
下载量

基于VHDL的可变占空比PWM发生器

此项目是基于VHDL的可变占空比PWM发生器。脉冲宽度调制(PWM)是一种非常流行的调制技术,主要用于控制传递到电机等电气设备的功率。附件中包括:基于VHDL的可变占空比PWM发生器代码、基于VHDL的可变占空比PWM发生器的测试平台代码:。想了解更多请下载附件。

2020-04-04

70
下载量

VHDL中的N位环形计数器

此项目是VHDL中的N位环形计数器。该项目将使用VHDL实现参数化的N位开关尾环计数器。这意味着用户可以轻松更改环形计数器的位数,而无需修改环形计数器内部的VHDL代码。 有一个参数N定义环形计数器的位数,当我们要更改位数时,只需更改参数N并重新合成或仿真即可。参数化的N位环形计数器使用行为和结构代码来实现,非常便于初学者理解和发展。想了解更多请下载附件。

2020-03-30

83
下载量

微信捐赠

微信扫一扫体验

立即
上传
返回
顶部