FPGA上的Verilog车牌识别

FPGA上的Verilog车牌识别

此项目是FPGA上的Verilog车牌识别。牌照许可证识别系统在Matlab中实现,然后使用Verilog在FPGA Xilinx Spartan-6上实现。本人在下方展示了一部分测试车牌识别系统Verilog代码;如想了解得更详细请下载附件。

2020-03-28

141
下载量

微信捐赠

微信扫一扫体验

立即
上传
返回
顶部