ALU设计

VHDL中的16位ALU设计

此项目是VHDL中的16位ALU设计。今天将介绍16位ALU设计的一部分;16位ALU是我在上个项目中介绍的协处理器中处理单元的核心组合组件。此VHDL项目中将提供16位ALU的完整VHDL代码以及测试平台(附件文件中)。了解更多请下载附件。

2020-04-08

118
下载量

微信捐赠

微信扫一扫体验

立即
上传
返回
顶部