opendss 进行短路故障仿真

这是opendss单相,多相仿真,从0到0.3秒,电路处于正常状态(无故障发生)。 在t = 0.3秒时,节点B3发生临时单相短路故障SLG(阶段A)故障持续时间0.21秒。

应用介绍


这是opendss单相,多相仿真,从0到0.3秒,电路处于正常状态(无故障发生)。

在t = 0.3秒时,节点B3发生临时单相短路故障SLG(阶段A)故障持续时间0.21秒
继电器检测到欠压和过电流后,会在0.1 s后(t = 0.4秒)运行,从而隔离感应电动机。
由于故障持续时间为0.21 s,因此故障仍然存在。 因此,重合器rec1在0.11s之后(在t = 0.51秒处)断开线路L3。 而且,几乎同时,临时故障消失了。

0.5秒后(在t = 1.01秒处),重合器重新连接线L3。 

PPT详细对单相短路故障,如何进行些脚本,并且告诉了每个脚本的作用,如何定义,

对原理进行了阐述

感应电机方程式:

1.在OpenDSS中打开Run.DSS

文件列表(部分)

名称 大小 修改日期
BusCoords.dat0.00 KB2018-09-20
Capacitor.dss0.10 KB2018-09-20
Fault.dss0.08 KB2018-09-20
Fuse.dss0.11 KB2018-09-20
GrowthShape.dss0.07 KB2018-09-20
IndMach012.dss0.22 KB2018-09-20
line.dss0.13 KB2018-09-20
LineCode.dss0.58 KB2018-09-20
Load.dss0.11 KB2018-09-20
LoadShape.dss0.42 KB2018-09-20
Master.DSS0.24 KB2018-09-20
Monitor.dss0.15 KB2018-09-20
NewModel_Variables.Txt0.38 KB2018-09-20
Recloser.dss0.23 KB2018-09-20
RegControl.dss0.18 KB2018-09-20
Relay.dss0.19 KB2018-09-20
Run.dss0.49 KB2018-09-20
Spectrum.dss0.33 KB2018-09-20
TCC_Curve.dss0.62 KB2018-09-20
Transformer.dss0.21 KB2018-09-20
Vsource.dss0.12 KB2018-09-20
wpwind2400.csv14.10 KB2018-09-20
故障仿真研究.pptx521.42 KB2021-01-26
短路故障0.00 KB2021-01-26

立即下载

相关下载

[分布式光伏发电对径向配电网故障定位的影响] 这个项目旨在确定分布式光伏发电对径向配电网故障定位的影响。 建议使用 EPRI ckt24 进行案例研究。 光伏系统是根据穿透限制为该电路确定尺寸的。 在 OpenDSS 上执行故障仿真,以获取变电站的电压和电流值。 这些结果记录在数据库中并用于训练多层感知器类的神经网络,该网络能够以 90% 的平均成功率确定电路中 6 个可能地理区域之间的故障位置,无论是否存在分布式光伏发电 .这个项目使用python调用opendss实现,
[opendss进行不平衡三相配电网的WLS状态估计] 在配备智能电表和相量测量单元的配电网络中进行WLS状态估计,opendss进行不平衡三相配电网的WLS状态估计,这是在matlab里调用opendss完成得,使用33节点算例进行计算得。
[opendss分布式光伏发电对配电网故障定位的影响] 在这种情况下,现代的故障定位方法基于功率频率,行波,信号注入或机器学习。 这项工作旨在确定分布式光伏发电对配电网中故障位置的影响。 使用EPRI ckt24进行案例研究。 光伏系统的尺寸取决于渗透极限。 在OpenDSS上执行故障仿真,以获取变电站的电压和电流值。 这些结果记录在数据库中,并用于训练多层感知器类的神经网络,该神经网络能够以90%的平均成功率确定存在或不存在分布式光伏发电的电路中6个可能地理区域之间的故障位置 。
[OpenDSS微网强化学习研究] 使用openDSS +强化学习进行微网分析,这是斯坦福培训教程。 需要OpenDSS并使用Python-OpenDSS COM接口 需要深度学习的RL框架:OpenAI stable-baselines, gym 需要NN框架: TensorFlow(1.stable-baseline,2.Keras(keras-rl2) 如果大家感兴趣可以参加世界AI电力大赛。
[matlab实现调用opendss画图] 利用opendss提供的com函数,在matlab利用这些函数,画图,其中这个com函数,DSSCircuit.AllNodeVmagPUByPhase 获取某相所有节点电压,然后实现画图,具体这些com函数,可以看视频教程。
[matlab通过COM接口调用OPENDSS] 该程序实现了matlab通过调用opendss软件提供的COM接口,可以在matlab中编写dss程序,修改opendss参数,希望对大家有帮助

评论列表 共有 0 条评论

暂无评论

微信捐赠

微信扫一扫体验

立即
上传
发表
评论
返回
顶部