verilog

Verilog工具

此项目全面的介绍了Verilog工具。仿真工具:Verilog-XL、NCVerilog、VCS、Finsim、Aldec、Modelsim、Smash、Silos、Veritak、MPSim、VeriLogger Extreme。免费仿真工具:Icarus Verilog、Verilator、Cver、Verilogger、Veriwell。VCD检视器:Waview、nWave、Undertow。代码调试工具、实时工具。想了解更详细的请点击下方下载,附件更详细的说明。

2020-03-11

99
下载量

Verilog PLI范例

此项目是Verilog PLI范例。本人展示了C代码和Verilog代码,希望能够给您带来收获。

2020-03-08

34
下载量

verilog_hdl语法和语义

此项目是Verilog HDL语法和语义。做了空格示列、评论示列、名称的示列、转义标识符示例等等。

2020-03-08

19
下载量

verilog设计和工具流程介绍

此项目是verilog设计和工具流程, 在这里,我介绍了工具流程的前端设计部分和FPGA设计的部分内容。我只展示了代码,如果需要详细了解请点击下方链接下载。

2020-03-08

21
下载量

Verilog运算符

此项目是Verilog运算符。包括了算术运算符、关系运算符、逻辑运算符、按位运算符、串联运算符等等。也介绍了二进制、整数除法、模运算等等。想了解更详细请点击下方链接下载即可。

2020-03-08

32
下载量

计数器设计

此项目是一个4位递增计数器,具有同步高电平有效复位和具有高电平使能信号

2020-03-08

335
下载量

以太网芯片设计代码

以太网芯片设计,10g模块,arp模块,时钟模块,IP帧发送器。IP模块, 1206/5000 以太网相关组件的集合,用于千兆位,10G和25G数据包处理(8位和64位数据路径)。包括用于处理以太网帧以及IP,UDP和ARP的模块,以及用于构建完整UDP / IP堆栈的组件。包括用于千兆位和10G / 25G的MAC模块

2020-06-10

9
下载量

程序时序控制

此项目是介绍了延迟控制、边沿触发的事件控制、电平触发的时间控制、赋值语句中的内部时间控制、使用连续赋值语句为组合逻辑电路建模。只展示了延时控制的代码和输出结果及波形图。想了解的更多请下载附件。

2020-03-12

44
下载量

Verilog UART模型

此项目是Verilog UART模型。注意事项:波特率模块采样脉冲式分频;接收模块仅在一个时刻采样,若需要考虑误码率可在状态机里插入0-1计数器。本人只展示了一部分代码,如果想详细的了解,请点击下方链接下载。

2020-03-08

20
下载量

触发器和锁存器

此项目是触发器和锁存器。触发器被称为 : 由两个真空管组成。虽然现在由逻辑门 (logic gates)组成的触发器很常见,但是在集成电路(intergrated circuits)中,这种元件及它的晶体管版本仍然也很常见。锁存器 latch 是一种基本电路单元,会影响到电路的时序性能,应该尽量避免使用,但出现锁存器造成设计和原始意图不符的情况,则是由于设计人员代码输入不正确造成的。

2020-03-11

42
下载量

微信捐赠

微信扫一扫体验

立即
上传
返回
顶部