以太网芯片设计代码

以太网芯片设计,10g模块,arp模块,时钟模块,IP帧发送器。IP模块, 1206/5000 以太网相关组件的集合,用于千兆位,10G和25G数据包处理(8位和64位数据路径)。包括用于处理以太网帧以及IP,UDP和ARP的模块,以及用于构建完整UDP / IP堆栈的组件。包括用于千兆位和10G / 25G的MAC模块

应用介绍


以太网相关组件的集合,用于千兆位,10G和25G数据包处理(8位和64位数据路径)。包括用于处理以太网帧以及IP,UDP和ARP的模块,以及用于构建完整UDP / IP堆栈的组件。包括用于千兆位和10G / 25G的MAC模块,一个10G / 25G PCS / PMA PHY模块以及一个10G / 25G组合MAC / PCS / PMA模块。包括各种与PTP相关的组件,用于实施需要精确时间同步的系统。还包括带有智能总线协同仿真端点的完整MyHDL测试平台。

对于UDP,IP和ARP支持,请使用udp_complete(1G)或udp_complete_64(10G / 25G)。

顶级千兆和10G / 25G MAC模块是eth_mac_ *,具有各种接口,并且带有/不带有FIFO。顶层10G / 25G PCS / PMA PHY模块为eth_phy_10g。顶层10G / 25G MAC / PCS / PMA组合模块是eth_mac_phy_10g。

PTP组件包括可配置的PTP时钟(ptp_clock),用于在时钟域之间传输PTP时间的PTP时钟CDC模块(ptp_clock_cdc),以及用于从PTP时间精确生成任意频率的可配置PTP周期输出模块。

arp模块

具有可设置参数的重试超时参数和可设置参数的数据路径的ARP处理逻辑。

arp_cache模块

ARP条目的基于哈希的基本缓存。可参数化的深度。

arp_eth_rx模块

具有可参数化数据路径的ARP帧接收器。

arp_eth_tx模块

具有可参数化数据路径的ARP帧发送器。

axis_eth_fcs模块

以太网帧检查序列计算器。

axis_eth_fcs_64模块

具有用于10G / 25G以太网的64位数据路径的以太网帧检查序列计算器。

axis_eth_fcs_check模块

以太网帧检查序列检查器。

axis_eth_fcs_insert模块

以太网帧检查序列插入器。

axis_gmii_rx模块

具有时钟使能和MII选择的AXI流GMII / MII帧接收器。

axis_gmii_tx模块

具有时钟使能和MII选择的AXI流GMII / MII帧发送器。

axis_xgmii_rx_32模块

具有32位数据路径的AXI流XGMII帧接收器。

axis_xgmii_rx_64模块

具有64位数据路径的AXI流XGMII帧接收器。

axis_xgmii_tx_32模块

具有32位数据路径的AXI流XGMII帧发送器。

axis_xgmii_tx_64模块

具有64位数据路径的AXI流XGMII帧发送器。

eth_arb_mux模块

以太网帧仲裁多路复用器,具有可设置参数的数据宽度和端口数。支持优先级和循环仲裁。

eth_axis_rx模块

具有可参数化数据路径的以太网帧接收器。

eth_axis_tx模块

具有可参数化数据路径的以太网帧发送器。

eth_demux模块

以太网帧解复用器,可设置参数的数据宽度和端口数。支持优先级和循环仲裁。

eth_mac_1g模块

具有GMII接口的千兆以太网MAC。

eth_mac_1g_fifo模块

具有GMII接口和FIFO的千兆位以太网MAC。

eth_mac_1g_gmii模块

具有GMII / MII接口和自动PHY速率自适应逻辑的三模式以太网MAC。

eth_mac_1g_gmii_fifo模块

具有GMII / MII接口,FIFO和自动PHY速率自适应逻辑的三模式以太网MAC。

eth_mac_1g_rgmii模块

具有RGMII接口和自动PHY速率自适应逻辑的三模式以太网MAC。

eth_mac_1g_rgmii_fifo模块

具有RGMII接口,FIFO和自动PHY速率自适应逻辑的三模式以太网MAC。

eth_mac_10g模块

具有XGMII接口的10G / 25G以太网MAC。数据路径可以在32位和64位之间选择。

eth_mac_10g_fifo模块

具有XGMII接口和FIFO的10G / 25G以太网MAC。数据路径可以在32位和64位之间选择。

eth_mac_mii模块

带有MII接口的以太网MAC。

eth_mac_mii_fifo模块

带有MII接口和FIFO的以太网MAC。

eth_mac_phy_10g模块

具有SERDES接口的10G / 25G以太网MAC / PHY组合模块。

eth_mac_phy_10g_fifo模块

具有SERDES接口和FIFO的10G / 25G以太网MAC / PHY组合模块。

eth_mac_phy_10g_rx模块

具有SERDES接口,RX路径的10G / 25G以太网MAC / PHY组合模块。

eth_mac_phy_10g_tx模块

具有SERDES接口,TX路径的10G / 25G以太网MAC / PHY组合模块。

eth_mux模块

以太网帧多路复用器,具有可设置参数的数据宽度和端口数。支持优先级和循环仲裁。

eth_phy_10g模块

10G / 25G以太网PCS / PMA PHY。

eth_phy_10g_rx模块

10G / 25G以太网PCS / PMA PHY接收侧逻辑。

eth_phy_10g_rx_ber_mon模块

10G / 25G以太网PCS / PMA PHY BER监视器。

eth_phy_10g_rx_frame_sync模块

10G / 25G以太网PCS / PMA PHY帧同步器。

eth_phy_10g_tx模块

10G / 25G以太网PCS / PMA PHY发送侧逻辑。

gmii_phy_if模块

GMII / MII PHY接口和时钟逻辑。

IP模块

具有千兆位以太网的8位数据宽度的IPv4块。管理IPv4数据包的传输和接收。与ARP模块连接以进行MAC地址查找。

ip_64模块

用于10G / 25G以太网的64位数据宽度的IPv4块。管理IPv4数据包的传输和接收。与ARP模块连接以进行MAC地址查找。

ip_arb_mux模块

IP帧仲裁多路复用器,具有可设置参数的数据宽度和端口数。支持优先级和循环仲裁。

ip_complete模块

具有ARP集成的IPv4模块。

千兆IP堆栈的顶级。

ip_complete_64模块

具有ARP集成和64位数据宽度的IPv4模块,用于10G / 25G以太网。

10G / 25G IP堆栈的顶层。

ip_demux模块

IP帧解复用器,可设置参数的数据宽度和端口数。支持优先级和循环仲裁。

ip_eth_rx模块

IP帧接收器。

ip_eth_rx_64模块

具有用于10G / 25G以太网的64位数据路径的IP帧接收器。

ip_eth_tx模块

IP帧发送器。

ip_eth_tx_64模块

具有64位数据路径的IP帧发送器,用于10G / 25G以太网。

ip_mux模块

IP帧多路复用器,具有可设置参数的数据宽度和端口数。支持优先级和循环仲裁。

lfsr模块

完全参数化的组合并行LFSR / CRC模块。

mii_phy_if模块

MII PHY接口和时钟逻辑。

ptp_clock模块

具有PPS输出的PTP时钟模块。生成64位和96位时间戳格式。可配置的分数纳秒字段支持精细的频率调整。

文件列表(部分)

名称 大小 修改日期
vivado.mk1.23 KB2020-05-18
Makefile1.20 KB2020-05-18
fpga.xdc1.56 KB2020-05-18
gtwizard_ultrascale_0.xci8.15 KB2020-05-18
eth0.01 KB2020-05-18
Makefile0.24 KB2020-05-18
README.md0.46 KB2020-05-18
debounce_switch.v1.14 KB2020-05-18
fpga.v3.94 KB2020-05-18
fpga_core.v3.89 KB2020-05-18
sync_signal.v0.98 KB2020-05-18
arp_ep.py0.02 KB2020-05-18
axis_ep.py0.02 KB2020-05-18
eth_ep.py0.02 KB2020-05-18
ip_ep.py0.02 KB2020-05-18
test_fpga_core.py3.10 KB2020-05-18
test_fpga_core.v1.60 KB2020-05-18
udp_ep.py0.02 KB2020-05-18
xgmii_ep.py0.02 KB2020-05-18
vivado.mk1.23 KB2020-05-18
Makefile1.20 KB2020-05-18
fpga.xdc1.56 KB2020-05-18
gtwizard_ultrascale_0.xci8.17 KB2020-05-18
eth0.01 KB2020-05-18
Makefile0.24 KB2020-05-18
README.md0.46 KB2020-05-18
debounce_switch.v1.14 KB2020-05-18
fpga.v4.00 KB2020-05-18
fpga_core.v3.89 KB2020-05-18
sync_signal.v0.98 KB2020-05-18

立即下载

相关下载

[ARP 地址解析协议] 章我们要讨论的问题是只对 T C P / I P协议簇有意义的 I P地址。数据链路如以太网或令牌环网都有自己的寻址机制(常常为 48 bit地址),这是使用数据链路的任何网络层都必须遵从的。一个网络如以太网可以同时被不同的网络层使用。例如,一组使用 T C P / I P协议的主机和另一组使用某种
[以太网芯片设计代码] 以太网芯片设计,10g模块,arp模块,时钟模块,IP帧发送器。IP模块, 1206/5000 以太网相关组件的集合,用于千兆位,10G和25G数据包处理(8位和64位数据路径)。包括用于处理以太网帧以及IP,UDP和ARP的模块,以及用于构建完整UDP / IP堆栈的组件。包括用于千兆位和10G / 25G的MAC模块

评论列表 共有 0 条评论

暂无评论

微信捐赠

微信扫一扫体验

立即
上传
发表
评论
返回
顶部